关于virtual关键字

发布时间:2022-06-28 发布网站:脚本宝典
脚本宝典收集整理的这篇文章主要介绍了关于virtual关键字脚本宝典觉得挺不错的,现在分享给大家,也给大家做个参考。

一.关于virtual关键字

主要应用场景在virtual class,virtual interface 以及 virtual task/function。

OOP三大特性(封装,继承,多态)中的 多态 在SystemVerilog中一般通过 “virtual” 关键字实现。

  • virtual interface

    在interface定义时,如果不使用关键字 “virtual” 那么在多次调用该接口时,在其中的一个实例中对接口中某一信号的修改会影响其他实例接口;如果使用了 “virtual” 关键字,那么每个实例是独立的。习惯在声明interface时均添加“virtual”关键字。

  • virtual task/function

    用于OOP思想的继承使用。当定义了virtual时,在子类中调用某task/function时,会先查找在子类中是否定义了该 task/function,如果子类没有定义,则在父类中查找。未定义virtual时,只在子类中查找,没有定义就是编译器报错。

    如果某一class会被继承,则用户定义的task/function(除new(),randomized(),per_randomize(),pose_randomize()外),都应该加上virtual关键字,以备后续扩展。

  • virtual class

    虚类一般用来定义类的格式,、类的成员、类的参数等,虚类不能被实例化,只能被扩展(重载)后实例化,用于在项目中定义一些标准的类。

    虚类中的方法通常使用关键字 " pure virtual " 纯虚方法。同时OOP规定,只要class中存在一个没有被实现的pure function,就不允许例化这个class。

virtual class (抽象类):可以被扩展但是不能被直接例化,由抽象类扩展而来的类,只有在所以的虚拟方法都有实体的时候才能被例化。
pure virtual function(纯虚方法):没有实体的方法原型,相当于一个声明,只能在抽象类中定义。

UVM中一个显著虚类是uvm_void:里面不包含任何成员变量以及函数,是所有其他UVM类的基类。

virtual class uvm_void;
endclass

脚本宝典总结

以上是脚本宝典为你收集整理的关于virtual关键字全部内容,希望文章能够帮你解决关于virtual关键字所遇到的问题。

如果觉得脚本宝典网站内容还不错,欢迎将脚本宝典推荐好友。

本图文内容来源于网友网络收集整理提供,作为学习参考使用,版权属于原作者。
如您有任何意见或建议可联系处理。小编QQ:384754419,请注明来意。
标签: