篇7-字符串与$sformatf,$sformat,$psprintf

发布时间:2022-06-30 发布网站:脚本宝典
脚本宝典收集整理的这篇文章主要介绍了篇7-字符串与$sformatf,$sformat,$psprintf脚本宝典觉得挺不错的,现在分享给大家,也给大家做个参考。

资料来源:

(1)公众号-杰瑞IC验证;

1.$sformat & $sformatf

篇7-字符串与$sformatf,$sformat,$psprintf

(1) $sformat & $sformatf是system verilog标准中的系统函数,用于整理字符串的格式;

(2) $sformat()比$sformatf()多了第一个参数,该参数用于存放最终整理好的字符串;

(3) $sformatf()返回的值就是整理好的字符串,可以直接把它整体拿去使用;

2.$psprintf(类似$sformatf)

(1) $psprintf是由VCS添加的sv扩展,暂未被system verilog标准收录;

(2) $psprintf()返回一个格式化的临时字符串,并且可以传递给其他子程序. 这样可以不用定义新的临时字符串并在格式化语句与函数调用过程中传递这个字符串. 

 

脚本宝典总结

以上是脚本宝典为你收集整理的篇7-字符串与$sformatf,$sformat,$psprintf全部内容,希望文章能够帮你解决篇7-字符串与$sformatf,$sformat,$psprintf所遇到的问题。

如果觉得脚本宝典网站内容还不错,欢迎将脚本宝典推荐好友。

本图文内容来源于网友网络收集整理提供,作为学习参考使用,版权属于原作者。
如您有任何意见或建议可联系处理。小编QQ:384754419,请注明来意。
标签: